1   2   3   4   5   6   7  
Efficient Resource-Aware Neural Architecture Search with a Neuro-Symbolic Approach
Bellodi, Elena; Bertozzi, Davide; Bizzarri, Alice; Favalli, Michele; Fraccaroli, Michele; Zese, Riccardo     details >>
IEEE Computer society, 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)
pp: 171-178, Anno: 2023

Integrated Optical Phased Arrays for on-Chip Communication
Khalid, M.; Calò, G.; Bellanca, G.; Nanni, J.; Barbiroli, M.; Fuschini, F.; Tralli, V.; Bertozzi, D.; Petruzzelli, V.     details >>
IEEE, 2023 23rd International Conference on Transparent Optical Networks (ICTON)
pp: 1-4, Anno: 2023

Technology-Aware Drift Resilience Analysis of RRAM Crossbar Array Configurations
Reiser, D.; Reichenbach, M.; Rizzi, T.; Baroni, A.; Fritscher, M.; Wenger, C.; Zambelli, C.; Bertozzi, D.     details >>
Institute of Electrical and Electronics Engineers Inc., 21st IEEE Interregional NEWCAS Conference, NEWCAS 2023 - Proceedings
pp: 1-5, Anno: 2023

The challenge of classification confidence estimation in dynamically-adaptive neural networks
Dall'Occo, Francesco; Bueno-Crespo, Andrés; Abellán, José L.; Bertozzi, Davide; Favalli, Michele     details >>
Springer, Embedded Computer Systems: Architectures, Modeling, and Simulation. 21st International Conference, SAMOS 2021, Virtual Event, July 4–8, 2021, Proceedings
Vol. 13227, No. 1, pp: 505-522, Anno: 2022

Exploring Process-Voltage-Temperature Variations Impact on 4T1R Multiplexers for Energy-aware Resistive RAM-based FPGAs
Rizzi, T.; Baroni, A.; Glukhov, A.; Bertozzi, D.; Wenger, C.; Ielmini, D.; Zambelli, C.     details >>
Institute of Electrical and Electronics Engineers Inc., IEEE International Integrated Reliability Workshop Final Report
Vol. 2022-, No. 1, pp: 1-5, Anno: 2022

On-chip wireless interconnection through reconfigurable optical phased arrays
Gabriele, L.; Bellanca, G.; Nanni, J.; Barbiroli, M.; Fuschini, F.; Serafino, G.; Bertozzi, D.; Tralli, V.; Petruzzelli, V.; Calo, G.     details >>
Institute of Electrical and Electronics Engineers Inc., 2022 Italian Conference on Optics and Photonics, ICOP 2022
pp: 1-4, Anno: 2022

An Asynchronous Soft Macro for Ultra-Low Power Communication in Neuromorphic Computing
Bertozzi, D.; Bhardwaj, K.; Nowick, S. M.     details >>
Institute of Electrical and Electronics Engineers Inc., Proceeding - IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022
pp: 178-181, Anno: 2022

Exploring Software Models for the Resilience Analysis of Deep Learning Accelerators: the NVDLA Case Study
Veronesi, A.; Dall'Occo, F.; Bertozzi, D.; Favalli, M.; Krstic, M.     details >>
Institute of Electrical and Electronics Engineers Inc., Proceedings - 2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2022
pp: 142-147, Anno: 2022

Comparative Analysis and Optimization of the SystemC-AMS Analog Simulation Efficiency of Resistive Crossbar Arrays
Rizzi, T.; Quesada, E. P. -B.; Wenger, C.; Zambelli, C.; Bertozzi, D.     details >>
Institute of Electrical and Electronics Engineers Inc., 2021 XXXVI Conference on Design of Circuits and Integrated Systems (DCIS)
pp: 183-188, Anno: 2021

Reconfigurable on-chip wireless interconnections through optical phased arrays (Invited)
Calo, G; Barbiroli, M; Bellanca, G; Bertozzi, D; Fuschini, F; Tralli, V; Serafino, G; Petruzzelli, V     details >>
Institute of Electrical and Electronics Engineers Inc., 2021 ACM/IEEE International Workshop on System-Level Interconnect Pathfinding, SLIP 2021
pp: 33-40, Anno: 2021

Cross-Layer Hardware/Software Assessment of the Open-Source NVDLA Configurable Deep Learning Accelerator
Veronesi, A.; Krstic, M.; Bertozzi, D.     details >>
IEEE Computer Society, IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC
Vol. 2020-, No. 1, pp: 58-63, Anno: 2020

An Interconnect-Centric Approach to the Flexible Partitioning and Isolation of Many-Core Accelerators for Fog Computing
Turki, Meriem; Bertozzi, Davide     details >>
Institute of Electrical and Electronics Engineers Inc., 2019 XXXIV Conference on Design of Circuits and Integrated Systems (DCIS)
pp: 1-6, Anno: 2019

A Low-latency and flexible tdm noc for strong isolation in security-critical systems
Gorgues Alonso, M.; Flich, J.; Turki, Meriem; Bertozzi, Davide     details >>
Institute of Electrical and Electronics Engineers Inc., 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)
pp: 149-156, Anno: 2019

PSION: Combining Logical Topology and Physical Layout Optimization for Wavelength-Routed ONoCs
Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Alves, Jose Carlos; Schlichtmann, Ulf     details >>
Association for Computing Machinery, Proceedings of the 2019 International Symposium on Physical Design
pp: 49-56, Anno: 2019

CustomTopo: A topology generation method for application-specific wavelength-routed optical NoCs
Li, Mengchu; Tseng, Tsun-Ming; Bertozzi, Davide; Tala, Mahdi; Schlitchmann, Ulf     details >>
IEEE/ACM, IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD 2018
pp: a100-1-a100-8, Anno: 2018

Correlating Power Efficiency and Lifetime to Programming Strategies in RRAM-Based FPGAs
Zambelli, Cristian; Castellari, Marco; Olivo, Piero; Bertozzi, Davide     details >>
IEEE, 2018 New Generation of CAS (NGCAS)
pp: 21-24, Anno: 2018

Wavelength-Routed Optical Networks-on-Chip: Design Methods and Tools to Bridge the Gap Between Logic Topologies and Physical Ones in 3D Architectures
Bertozzi, Davide; Gavanelli, Marco; Nonato, Maddalena     details >>
ACM, GLSVLSI '18: Proceedings of the 2018 on Great Lakes Symposium on VLSI
pp: 311-316, Anno: 2018

Understanding the Design Space of Wavelength-Routed Optical NoC Topologies for Power-Performance Optimization
Bertozzi, Davide; Tala, Mahdi     details >>
IEEE, 26th IFIP/IEEE International Conference on Very Large Scale Integration
pp: 255-260, Anno: 2018

Interfacing 3D-stacked electronic and optical NoCs with mixed CMOS-ECL bridges: A realistic preliminary assessment
Tala, Mahdi; Schrape, Oliver; Krstic, Milos; Bertozzi, Davide     details >>
Association for Computing Machinery, Proceedings of the 2018 on Great Lakes Symposium on VLSI
pp: 81-86, Anno: 2018

Special session on overcoming reliability and energy-efficiency challenges with silicon photonics for future manycore computing
Bertozzi, Davide; Sudeep, Pasricha; Hui, Li     details >>
IEEE, 2018 IEEE 36th VLSI Test Symposium (VTS)
pp: 1-1, Anno: 2018

1   2   3   4   5   6   7